Program

Dr. Zhiying Chen, Tokyo Electron America, Inc. (USA)

New directions for plasma diagnostics in the era of atomic precision semiconductor device manufacturing

Prof. Christophe Vallée, CNSE, university at Albany (USA)

ASD on EUV Metal-oxide resists

 

Prof. Emilie Despiau-Pujo, université Grenoble-Alpes, Grenoble (France

Multiscale hybrid modelling of resist microlenses etching in DF-CCP CF4 plasmas

 

Prof. Makoto Sekine, university of Nagoya (Japan)

Cryogenic plasma etching in semiconductor processes with reduced environmental impact

 

Dr. Thorsten Lill, Lam Research (USA)

Elementary processes in cryo etching of dielectric high aspect ratio structures

 

Dr. Mituhiro Omura, Kioxia Corp. (Japan)

Expectation for cryo etching technology for 3D flash memory

 

Prof. Adrie Mackus, Eindhoven university of Technology (The Netherlands)

Plasma-assisted isotropic atomic layer etching for fabrication of nanoelectronics

 

Dr. Yann Canvel, imec v.z.w. (Belgium)

Understanding complex and scalable patterning of (novel) materials for memory and logic applications

 

Dr. Aurélien Tavernier, université Grenoble-Alpes, CEA, Leti, Grenoble (France)

Photoresist on polymer etch challenges for imager filters patterning

 

Welcome speech

08:40 - 08.50

JF de Marneffe, imec, Leuven, BE
Remi Dussart, GREMI, Orleans, FR

Opening the PESM/PlaCEP 2024 conference
Session 1: Modelling and Simulation

08:50 - 09:30

INVITED Simulation - Emilie Despiau-Pujo, Université Grenoble Alpes (France)

Multiscale hybrid modelling of resist microlenses etching in DF-CCP CF4 plasmas

09:30 - 09:50

Patrick Vanraes, PLASMANT, Univ. of Antwerp (Belgium)

Edge placement error study of a recessed bitline contact by means of feature scale plasma etching simulations

09:50 - 10:10

Mudassir A. Sayyed, Fraunhofer Enas, Chemnitz (Germany)

A Virtual Metrology Model for Plasma Etching Process with Partially Labeled Data

10:10 - 10:30

Jonathan R.Cedillo, Université Grenoble Alpes, CNRS (France)

Elementary surface reaction probabilities in F- and CF- based plasmas at cryogenic etching conditions using MD simulations *

10:30 - 10.50

Coffee break
Session 2: Memory applications

10:50 - 11:30

INVITED  Yann Canvel, imec v.z.w. (Belgium)

Understanding complex and scalable patterning of (novel) materials for memory and logic applications

11:30 - 11:50

B. Fontaine, STMicroelectronics, Crolles (France)

Hydrogen-based post-etching chemistries for phase-change random access memory patterning

11:50 - 12:10

G. Choi, Korea university, Sejong (Rep. of Korea)

High-aspect-ratio oxide etching in inductively coupled plasma systems using low-frequency bias power

12:10 - 12:30

Hari Puliyalil, imec v.z.w. (Belgium)

Patterning challenges and opportunities in IGZO TFTs device architectures

12:30 - 14.00

Lunch break

13:00 - 13.30

imec cleanroom tour
Session 3: Cryogenic Etching, session 1

14:00 - 14:40

INVITED cryo 1 - Makoto SEKINE, Nagoya University (Japan)
Cryogenic plasma etching in semiconductor processes with reduced environmental impact

14:40 - 15:00

Christophe Cardinaud, IMN, Nantes (France)

Limits and interest of a low surface temperature for plasma etching processes

15:00 - 15:20

Thomas Tillocher, GREMI, Orléans, France

Physisorption of C4F8 on surfaces cooled at cryogenic temperature

15:20 - 15:40

Shih-Nan Hsiao, cLPS, Nagoya University (Japan)

Plasma-based pseudo-wet etching for SiN using hydrogencontained fluorocarbon gases at cryogenic temperatures

Poster session/ drink

15:40 - 18.00

Session 4: Plasma and Process Diagnostics

08:40 - 09.20

INVITED - Zhiying Chen, TEL (USA)

 

New directions for plasma diagnostics in the era of atomic precision semiconductor device manufacturing

09:20 - 09.40

Amkir Abbas Zolfaghari, Fraunhofer IPM, Dresden (Germany)

Characterisation of RF pulsed CCP sputter processes with Quadrupole Mass Spectrometry

09:40 - 10.00

Michael Klick, Plasmetrex (Germany)

Plasma Parameters Measurement and Process Models for F Gas Flow Reduction in DRIE

10:00 - 10.20

Thomas Gilmore, Impedans Ltd (Ireland)

Insights and Applications of Retarding Field ion Energy Analyzers for Plasma Etching

10:20 - 10.40

Coffee break

 

 

Session 5: Cryogenic Etching - session 2

10:40 - 11.20

INVITED - Thorsten Lill, Lam Research (USA)

Elementary processes in cryo etching of dielectric high aspect ratio structures

11:20 - 11.40

Rémi Dussart, GREMI, Orléans (France)

Physicochemical mechanisms involved in SiF4/O2 plasma cryogenic deposition and etching

11:40 - 12.00

Michael K. T. Mo, CLPS, Nagoya University (Japan)

The effects of SiO2 substrate cooling on radical species production in CF4/H2 plasma

12:00 - 13.20

Lunch break

 

 

12:50 - 13.20

imec cleanroom tour

 

 

Session 6: Emerging Etch Concepts

13:20 - 14:00

INVITED - Christophe Vallée, CNSE, university of Albany (USA)

ASD on EUV Metal-oxide resists

14:00 - 14:20

Rémi Vallat, imec v.z.w. (Belgium)

Break healing and LER mitigation for patterning of thin layers

14:20 - 14:40

Hyeongwu Lee, SKKU Advanced Institute of Nanotechnology (Rep. of Korea)

Plasma-Enhanced Atomic Layer Etching of HfO2 with Plasma Fluorination and Ligand-Exchange using BCl3

 

14:40 - 15:00

Dominik Metzler, IBM Research, Albany (USA)

Via Chamfer Control through ILD Etch Conditions and Cap Material

15:00 - 15:20

Hojin Kang, SKKU School of Chemical Engineering (Rep. of Korea)

Plasma-Enhanced Atomic Layer Etching of Palladium Nanopattern with Chlorination and Ligand Addition

15:20 - 15:40

Coffee break

Session 7: Plasma Vapor Isotropic Etching

15:40 - 16:20

INVITED - Adrie Mackus, Eindhoven University of Technology (The Netherlands)

Plasma-assisted isotropic atomic layer etching for fabrication of nanoelectronics

16:20 - 16:40

Mathieu Stigliani, Université Grenoble Alpes, CEA, LETI

Development of a sustainable/ESH responsible post plasma-etch residues cleaning solution

 

16:40 - 17:00

Jean-Francois de Marneffe, imec v.z.w. (Belgium)

 

Cleaning of low-dimensionality materials: challenge and solutions

17:00 - 17:20

Carlos Cunha, imec v.z.w. (Belgium)

Exploration of cleaning methods for polymer-wrapped carbon nanotubes

Dinner - 19H00 - The Hoorn

Session 8: Cryogenic Etching - session 3

08:40 - 09.20

INVITED - Mitsuhiro Omura, KIOXIA Corporation (Japan)

Expectation for cryo etching technology for 3D flash memory

09:20 - 09.40

Konstantina Fillipidou, imec v.z.w. (Belgium)

Reduction of high GWP gas consumption for SiO2 etch at low temperature

09:40 - 10.00

Jack Nos, GREMI, Orléans (France)

Estimation of a condensation coefficient to characterize C4F8 physisorption on SiO2 at cryogenic temperatures

10:00 - 10.20

Coffee break

Session 9: Semiconductor Etching

10:40 - 11.00

Aurélien Tavernier, Université Grenoble Alpes, CEA/LETI (France)

Photoresist on polymer etch challenges for imager filters patterning

11:00 - 11.20

Saron Sales de Mello, Université Grenoble Alpes, CNRS, CEA/LETI (France)

On the plasma etching mechanisms of high aspect ratio aluminum nitride nanowires patterning

11:20 - 11.40

Tatiana Chancelle Mbouja Signe, IMN, Nantes (France)

Plasma-surface interactions during Vanadium Oxide (V2O3) Thin Films Etching Process in Fluorine-Based Plasmas

11:40 - 12.00

Lucas Jacoustre, Université Grenoble Alpes, CNRS, CEA/LETI (France)

How to produce high aspect ratio GaN and AlN nanopillar arrays with m-oriented facets by combining dry and wet processes for the fabrication of next generation deep ultraviolet light-emitting diodes

12:00 - 13.00

Lunch break

Session 10: More than Moore

13:00 - 13.20

David Cascales, Université Grenoble Alpes, CEA, LETI

 

Impact of the GaN plasma etching parameters on the gate morphology for lateral and vertical power devices

13:20 - 13.40

Mihai Lazar, University of Technology of Troyes (France)

SiC plasma etching technology processes for power and optoelectronic devices

13:40 - 14.00

Shushi Kaushik, imec v.z.w. (Belgium)

Polysilicon gate etching for spin qubits

14:00 - 14.20

Lamyae Hamraoui, GREMI, Orléans (France) (France)

Optimization of Atomic Layer Etching Process for Gallium Nitride Using SF6 and Ar Plasma

AWARDS - BEST STUDENT ORAL and POSTER competitions

14:20 - 14.35

E. Dupuy and Ph. Bezard, imec v.z.w. (Belgium)

Announcing the winners of the BEST STUDENT ORAL presentation and BEST POSTER - handling the awards

Next PESM / PlaCEP conferences

14:35 - 14.50

Conference organizers

Announcing the next conferences / closure ceremony

 Goodbye

Part 1: Modelling and Simulation

15:40 - 18.00

A. Kondi, NCSR Demokritos (Greece)

3D geometrical modeling for etch-induced LER transfer

15:40 - 18.00

G. Kokkoris, NCSR Demokritos (Greece)

Transition from isotropic to rippling roughness pattern on inclined plasma-etched polymeric substrates: A computational study

15:40 - 18.00

T. Seifert, University of Technology Chemnitz (Germany)

Model-based comparison of SF6-based bulk silicon etch process between different ICP plasma chambers

Part 2: Memory applications

15:40 - 18.00

N. Iurii, Silicon Austria Labs GmbH (Austria)

Mechanism of selective SiO2/photoresist etching under ICP-RIE conditions in a C4F8/H2 gas mixture

15:40 - 18.00

N. Dittmar, Fraunhofer ENAS, Chemnitz (Germany)

Influence of etching process parameters on SiO2 sidewall angles using CF4, CHF3 and O2 in a two step dry etching process

Part 3: Cryogenic Etching

15:40 - 18.00

A. Rahali, GREMI, Orléans (France)

Cryogenic plasma etching processes of black silicon for microfluidic applications

15:40 - 18.00

Y. Han, SKKU university (rep. of Korea)

High Aspect Ratio Etching of SiO2 with Low-Global Warming C5F10O Plasma at Low Temperature

15:40 - 18.00

M. Adjabi, GREMI, Orléans (France)

Temperature effects on plasma parameters in Cryogenic Etching

Part 4: Plasma and Process Diagnostics

15:40 - 18.00

D. W. Kim, SMRC, Korea Institute of Machinery & Materials (Rep. of Korea)

In-situ measurement of plasma electron density uniformity by patch-type sensor

15:40 - 18.00

S. Kim, Chungnam, National University, Daejeon (Rep. of Korea)

Discharge Characteristics of Low-Pressure Plasma Source Capable of Ignition in the Sub-mTorr Range

Part 5: Semiconductor etching

15:40 - 18.00

J. Kannan, Fraunhofer IPMS-CNT, Dresden (Germany)

Quasi-Atomic layer etching process transfer from lab to 300mm line and its optimisation

Part 6: More than Moore

15:40 - 18.00

L. C. Wegner, Fraunhofer ENAS, Chemnitz (Germany)

Investigation of photoresist etching masks for XMR device fabrication

15:40 - 18.00

M. Haase, Fraunhofer ENAS, Chemnitz (Germany)

Patterning Titanium Oxide Using Nanoimprint Lithography for Fabrication of Surface Relief Gratings

15:40 - 18.00

J. Spettel, Silicon Austria Labs GmbH (Austria)

Aluminum nitride on insulator: optimization of etching process for integrated photonic applications

15:40 - 18.00

Th. Chevolleau, Université Grenoble Alpes, CEA/LETI (France)

Metal line patterning for 300 mm superconducting BEOL

Part 7: Plasma-Vapor-Isotropic Etching

15:40 - 18.00

Yang Han, imec v.z.w. (Belgium)

Optimization of Bevel Clean in Passivation Module

Part 8: Sustainability

15:40 - 18.00

Sanghyun You, Ajou University (Rep. of Korea)

Control of SiO2 etch profiles using heptafluoropropyl methyl ether as an etchant with low global warming potential

End of the day / See you tomorrow

15:40 - 18.00

ALL POSTERS